&是什么意思在vhdl中?怎么用?

如题所述

这个是连接符
可以把几个信号连接成一个信号。
比如A 是3BIT ;B是4BIT
C<=A&B;
那么C就是一个7BIT的信号啦!是由A拼在高3BIT,B拼在低4BIT构成的。
温馨提示:答案为网友推荐,仅供参考
相似回答