vhdl rem 怎么用,在哪个库有定义

如题所述

rem是vhdl标准库numeric_std里面定义的函数
mod(取模)/rem(取余)对于两个正的输入来说结果一致 否则有区别
A rem B = A - ( A / B ) * B --余数运算符 利用操作数A决定结果的正负号
A mod B = A - B * N --取模运算符 利用操作数B决定结果的正负号
并且都是用于仿真而不可综合的
5 mod 3 = 2
(-5) mod 3 = 1
5 mod (-3) = -1
(-5) mod (-3) = -2
5 rem 3 = 2
(-5) rem 3 = -2
5 rem (-3) = 2
(-5) rem (-3) = -2
温馨提示:答案为网友推荐,仅供参考
相似回答