verilog如何写寄存器读入读出

如题所述

方法如下:
verilog中数据的写入分为三步:
1、 $fopen()打开文件。
2.、$fwrite()写入相应data 。
3.、$fclose()关闭文件。
Verilog可以从五个层次对电路(系统)进行描述,包括:系统级、算法级、寄存器传输级(即RTL级)、门级、开关级。我们平时用的最多的为RTL级,故Verilog代码也经常被称为RTL代码。
温馨提示:答案为网友推荐,仅供参考
相似回答