labview中的循环初始化是怎么回事啊? 有没有大侠有相关资料。

比如:在脉冲计数时,每1秒计一次数,过一秒后计数器初始化为0,然后重新开始计数。 假设计数器在第一秒内计数值为10,然后计数器初始化为0,第二秒重新计数。。。。

将要初始化的计数器显示,设置为局部局部变量并且赋零,将它写在程序的前面,前后用顺序结构。

温馨提示:答案为网友推荐,仅供参考
相似回答