66问答网
所有问题
试只用一片4选1数据选择器设计一判定电路。输入为8421BCD码,输入时大于1小于6输出1,否则输出0
如题所述
举报该问题
推荐答案 推荐于2017-11-24
由
真值表
此画出上面的那个
卡诺图
:
温馨提示:答案为网友推荐,仅供参考
当前网址:
http://66.wendadaohang.com/zd/D99sUiDsU.html
其他回答
第1个回答 2012-03-25
设输入为ABCD,将A、B接四选一地址A1A0,四选一输入端D0、D1、D2、D3分别接C、#C、0、0
相似回答
判别
8421bcd码,大于6输出
为
1,小于6输出
为0画卡诺图列真值表
答:
4 0100 0 5 0101 0 6 0110 1 7 0111 1 8 1000 1 9 1001 1 F=A+BC
设计一
个组合
电路,
用来判断
输入
的4位
8421BCD码,
当其位
大于
或等于5时...
答:
如图
...比较
电路,
当
输入
的一位
8421BCD码大于4时,输出为1,
否则为0.试编写出...
答:
直接看输入的bit2和bit3,如果不是00输出为0,否则
输出为1
。1、module com(a,b);input[3:0]a;output b;assign b=(a>=4'h4)?1:0;endmodule module t_com;reg[3:0]a;wire b;initial begin a=4'h1;5 a=4'h5;5 $stop;end com m(a,b);endmodule 2、module compare(clk, rst_n...
...
设计一
个比较
电路,
当
输入
的
8421BCD码大于
5
时输出1,
否则输出0?_百度...
答:
architecture Behavioral of
bcd
_compare isbegin process(bcd_in) begin if bcd_in > "0101" then output <= '1'; else output <= '0'; end if; end process;end Behavioral;
设计8421BCD码
的一个判断奇数的
电路,
要求
输入码
为奇数
时,输出为1
...
答:
把一位十进制数用
8421BCD码
表示 8421BCD非法码组合有:D,C,B,A 1,0,1,0 1,0,1,1 1,1,0,0 1,1,0,1 1,1,1,0
1,1,1,1
将此DCB项对应74LS151的CBA选通项,则只要CBA=101、110、111 时,选通输出的信号代表非法。从0到9的素数有2、3、5、7
,输入
分别为0000...
设计一
个组合
电路,
用来判断
输入
的4位
8421BCD码,
当其位
大于
或等于5时...
答:
从输入端分析:你输入的4位数据是并口还是串口?并口就是你有4根线同时输入;串口就是你有一根线按时钟触发,一个时钟发一个,4位就是4个时钟(地线忽略)。中间转换:串行
输入,
使用移位寄存器解析这4位电平(你控制4个时钟,具体使用参考移位寄存器)。并口输入不用搞了。逻辑结果:使用或门
电路,
...
大家正在搜
8选1数据选择器74151
八选一数据选择器74ls151
4选1数据选择器实现全加器
数据选择器是一种什么输入
8选一数据选择器
4选一数据选择器
32选1数据选择器
三选一数据选择器
八选一数据选择器原理
相关问题
设计一个组合电路,用来判断输入的4位8421BCD码,当其位...
有一个比较电路,当输入的一位8421BCD码大于4时,输出为...
急:用八选一数据选择器74LS151设计一个8421BCD非...
设计一位8421BCD码的判奇电路,当输入含有奇数个1时输出...
试用与非门设计一个伪码检验电路。当输入的是8421BCD码的...
设计一个四舍五入判别电路,其输入为8421BCD码,要求当输...
设计一位8421BCD码的判奇电路,当输入码为奇数时,输出为...