66问答网
所有问题
当前搜索:
vhdl语言100例详解
VHDL语言100例详解
的图书概述
答:
本书通过100个实例,详细介绍硬件描述
语言VHDL
的各种语法现象及其在专用集成电路(ASIC)设计描述中的使用方法。书后附有光盘,其中包括北京理工大学ASIC研究所自行研制的有自主版权的Talent高层次自动设计系统的多媒体演示软件和VHDL模拟器(学习版)及
100例
的描述与模拟测试向量文件,读者可直接在微机上运行这...
VHDL语言100例详解
的图书目录
答:
绪论——专用集成电路(asic)设计与vhdl语言(代前言)i asic设计面临严峻的挑战ii 硬件描述语言 vhdl的出现与发展状况iii vhdl语言的特点iv vhdl语言高级综合v vhdl语言混合级模拟vi vhdl语言高级综合系统talentvii
vhdl语言100例
的选取与本书的撰写目标第1例 带控制端口的加法器第2例 无控制端口的加法...
简易8按键电子琴设计实验
答:
采用EDA作为开发工具,
VHDL语言
为硬件描述语言,MAX + PLUS II作为程序运行平台,所开发的程序通过调试运行、波形仿真验证,初步实现了设计目标。本程序使用的硬件描述
语言VHDL
,可以大大降低了硬件数字系统设计的入门级别,让人感觉就是C语言的近亲。通过老师的指导和自己的学习完成了预想的功能。 关键词 电子琴;课程设计;E...
基于
VHDL语言
的多功能数字钟设计
答:
(4) 闹钟:设定闹钟时间,整点提示希望能帮上忙的好心人发至我的邮箱:
100
[email protected]
展开 我来答 2个回答 #热议# 已婚女性就应该承担家里大部分家务吗?sqbianwei 2008-02-26 知道答主 回答量:43 采纳率:0% 帮助的人:18.5万 我也去答题访问个人页 关注 展开全部 数字电子钟的设计 一...
能给个
VHDL
的程序吗?最好是有注释的,我想知道究竟硬件描述
语言
是什么...
答:
这是一个完整的十进制计数器,编译完成后分配实体中的各个引脚,输入接按键,输出接led灯就可以看到bcd的十进制计数了。还可以用两个10进制组合成一个
100
进制只需将第一个co接到第二个十进制的clk即可。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count10 ...
电子技术文献综述
答:
1.vhdl 1.1
vhdl语言
的概念及特点 VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计...
用
VHDL语言
做基于CPLD的数据采集控制系统
答:
就FPGA/CPLD开发来说,
VHDL语言
是最常用和流行的硬件描述语言之一。本次设计选用的就是VHDL语言,下面将主要对VHDL语言进行介绍。 2.3.1 VHDL语言简介 VHDL是超高速集成电路硬件描述语言的英文字头缩写简称,其英文全名 是Very-High -Speed Integrated Circuit Hardware Description Language。它是在70- 80年代中由美国...
各位同仁,谁有《
VHDL语言100例详解
》的光盘资料啊,求连接。
答:
一·不要在流泪的时候做任何决定,情绪负面的时候说话越少越好。你没那么多观众,别那么累。过去的事情可以不忘记,但一定要放下。不说谎话,因为总有被拆穿的一天。二·健康是人类生存极为重要的内容,它对于人类的发展,社会的变革,文化的更新,生活方式的改变,有着决定性的作用。那么,一个人怎样...
EDA课程设计,用
VHDL
编程做出租车计费器
答:
本文采用
VHDL语言
来设计实现出租车计费系统,源程序经美国ALTERA公司的MAX+PLUSⅡ软件调试优化,下载到特定芯片(MAX系列之一)后,可应用于实际的出租车计费系统中。1.2 设计任务与要求1.21 设计任务 设计一个出租车自动计费器,计费包括起步价、行车里程计费、停止和暂停不计费三部分。现场模拟汽车的启动、停止、暂停和换挡...
基于FPGA的频率计设计 毕业论文。。请高手帮忙,有重谢。。
答:
一个用
VHDL语言
实现的实例如下:-- Project Name: 恒精度频率计 -- Target Devices: FPGA or CPLD -- Revision 0.01 - File Created -- Comments: clk--系统工作时钟,2MHz ---reset--系统复位信号,高电平有效 ---Fx--为待测信号 ---FreqNx--为待测信号的计数值 ---FreqNs--为...
1
2
3
4
涓嬩竴椤
其他人还搜
vhdl语言且怎么
vhdl基本语法
基于VHDL语言的LCD计算器
vhdl二进制数表示
用vhdl语言设计10进制计数器
标准逻辑位STD_LOGIC有几种取值
VHDL代码
vhdl的for语句
门禁系统VHDL语言